23 - 27 February 2025
San Jose, California, US

Advanced Lithography + Patterning conferences

Find the conference topics that interest you most, and submit your research

Share your latest research

Topics range from optical and EUV lithography, patterning technologies, metrology, and process integration for semiconductor manufacturing and adjacent applications.

Present your research and project upates at SPIE Advanced Lithography + Patterning and advance your work.

Conferences


  • Optical and EUV Nanolithography
  • DTCO and Computational Patterning
  • Metrology, Inspection, and Process Control
  • Novel Patterning Technologies
  • Advances in Patterning Materials and Processes
  • Advanced Etch Technology and Process Integration for Nanopatterning

Authors: Add an application track to your submission and help your research get noticed


During submission of the abstract, select an application track if the topic aligns with your research. Adding an application track to your presentation during abstract submission will bring extra visibility to your work and help connect you with colleagues in the community.

View abstract submission details

Symposium Chairs


Qinghuang Lin

LinkTech International (United States)
Symposium Chair

John Robinson

KLA Corporation (United States)
Symposium Co-chair

Invitation from the Chairs to participate


Share your research at SPIE Advanced Lithography + Patterning. Read the invitation from the Symposium Chairs to learn all the reasons and benefits for being involved in this leading event. 

Learn more

Registration includes 50 SPIE Digital Library downloads


Access the research you need

Presentations and manuscripts presented at Advanced Lithography + Patterning are published in the Proceedings of SPIE on the SPIE Digital Library.